Publications

Simple thermal vapor deposition process for and characterization of n-type indium oxysulfide thin films
Jayaraman, Ashwin; Kim, Sang Bok; David, Luke M.; Lou, Xiabing; Gordon, Roy G.
(2022) J. Vac. Sci. Technol. A 40(6) 

Anthraquinone Flow Battery Reactants with Nonhydrolyzable Water-Solubilizing Chains Introduced via a Generic Cross-Coupling Method
Jing, Yan; Fell, Eric M.; Wu, Min; Jin, Shijian; Ji, Yunlong; Pollack, Daniel A.; Tang, Zhijiang; Ding, Dian; Bahari, Meisam; Goulet, Marc-Antoni; Tsukamoto; Tatsuhiro; Gordon, Roy G.; Aziz, Michael J. 
(2021) ACS Energy Letters226–235

Functioning Water-Insoluble Ferrocenes for Aqueous Organic Flow Battery via Host–Guest Inclusion
Li , Yuanyuan; Xu, Ziang; LiuYahua; Jin, Shijian; Fell, Eric M.; Wang, Baoguo; Gordon, Roy G. Aziz, Michael J.; Yang, Zhengjin; Xu, Tongwen 
(2021) ChemSusChem 14, 2:745-752

Study of the crystal structure of SnS thin films by atomic layer deposition
Zhao, Xizhu; Davis, Luke M.; Lou, Xiabing; Kim, Sang Bok; Uličná, Soňa; Jayaraman, Ashwin; Yang, Chuanxi; Shelhas, Laura T.; Gordon, Roy
(2021) AIP Advances 11, 3:035144

ALD Growth of MgxCa1–xO on GaN and Its Band Offset Analysis
Gong, Xian; Lou, Harbing; Kim, Sang Bok; Gordon, Roy G. 
(2021) ACS Appl. Electron. Mater.  3, 2: 845–853

Chemical Vapor Deposition of Transparent, p-Type Cuprous Bromide Thin Films
Chang, Christina M.; Davis, Luke M.; Spear. Eliza K.; Gordon, Roy G.
(2021) Chemistry of Materials 33, 4:1426–1434

Electrochemical Regeneration of Anthraquinones for Lifetime Extension in Flow Batteries
Jing, Yan; Zhao, Evan Wenbo; Goulet, Marc-Antoni; Bahari, Meisam; Fell, Eric, Jin, Shijian; Davoodi, Ali ; Jónsson, Erlendur; Wu, Min; Grey, Clare; Gordon, Roy G. Gordon; Aziz, Michael J. 
(2021) ChemRxiv. 

Highly Stable Low Redox Potential Quinone for Aqueous Flow Batteries
Wu, Min; Bahari, Meisam; Jing Yan; Amini, Kiana; Fell, Eric; George, Thomas; Gordon, Roy G.; Aziz, Michael J.
(2021) ChemRxiv. 

Development of Extremely Stable Anthraquinone Negolytes for Aqueous Flow Batteries
(2021) IOP Science: 239th ECS Meeting with the 18th International Meeting on Chemical Sensors (IMCS) 

pH swing cycle for CO2 capture electrochemically driven through proton-coupled electron transfer
Jin,Shijian; Wu, Min; Gordon, Roy G.; Aziz, Michael J.; Kwabi, David G. 
(2020) Energy & Environmental Science 13:3706-3722

Functioning Water‐Insoluble Ferrocenes for Aqueous Organic Flow Battery via Host–Guest Inclusion
Li, Yuanyuan; Xu, Ziang; Liu, Yahua; Jin, Shijian; Fell, Eric M.; Wang, Baoguo; Gordon, Roy G.; Aziz, Michael J.; Yang, Zhengjin; Xu, Tongwen 
(2020) ChemSusChem 14:745-752

Synthesis of Electrolytes in Flow Batteries
Jing, Yan; Wu, Min; Wong, Andrew A.; Fell, Eric M.; Jin, Martin; Pollack, Daniel; Kerr, Emily; Gordon, Roy G.; Aziz, Michael J.
(2020) ECS Meet. Abstr. MA2020-02 218

In situ electrosynthesis of anthraquinone electrolytes in aqueous flow batteries
Jing, Yan; Wu, Min; Wong, Andrew A.; Fell, Eric M.; Jin, Shijian; Pollack, Daniel A.; Kerr, Emily F.; Gordon, Roy G.; Aziz, Michael J. 
(2020) Green Chemistry

Effect of Molecular Structure of Quinones and Carbon Electrode Surfaces on the Interfacial Electron Transfer Process  
Sedenho, Graziela C.; De Porcellinis, Diana; Jing, Yan; Kerr, Emily; Mejia-Mendoza, Luis M.; Vazquez-Mayagoitia, Alvaro; Aspuru-Guzik, Alan; Gordon, Roy G.; Crespilho, Frank N.; Aziz, Michael J. 
(2020) ACS Applied Energy Materials 3 :1933-1943.

Electrolyte Lifetime in Aqueous Organic Redox Flow Batteries: A Critical Review
Kwabi, David G.; Ji, Yunlong; Aziz, Michael J.
(2020) Chemical Reviews

Near Neutral pH Redox Flow Battery with Low Permeability and Long-Lifetime Phosphonated Viologen Active Species
Jin, Shijian;Fell, Eric M.; Vina-Lopez, Lucia; Jing, Yan; Michalak, P. Winston; Gordon, Roy G.; Aziz, Michael J.
(2020) Advanced Energy Materials: 1-10

Extremely Stable Anthraquinone Negolytes Synthesized from Common Precursors
Min, Wu; Jing, Yan;  Wong, Andrew A.; Fell, Eric M.; Jin, Shijian; Tang, Zhijiang; Gordon, Roy G.; Aziz, Michael J. 
(2020) Chem 6: 1432–1442

Epitaxial growth of MgxCa1−xO on 4H–SiC(0001) and β-Ga2O3 wide band gap semiconductors with atomic layer deposition
Lou, Xiabing; Gong, Xian; Kim, Sang Bok; Gordon, Roy G.
(2020) Journal of Materials Research 35: 831-39

Atomic layer deposition of cubic tin–calcium sulfide alloy films
Yang,Chuanxi;  Zhao, Xizhu; Kim, Sang Bok; Schelhas, Laura T.; Lou, Xiabing Lou; Gordon, Roy G. 
(2019) Journal of Materials Research 35: 795-803

Low Temperature Chemical Vapor Deposition of Cuprous Oxide Thin Films Using a Copper(I) Amidinate Precursor
Chua, Danny; Kim, Sang Bok; Li, Kecheng; Gordon, Roy
(2019) ACS Applied Energy Materials 2

Non-corrosive, low-toxicity gel-based microbattery from organic and organometallic molecules
Crespilho, Frank N.; Sedenho, Graziela C.; De Porcellinis, Diana; Kerr, Emily; Granados-Focil, Sergio; Gordon, Roy G.; Aziz, Michael J.
(2019) Journal of Materials Chemistry A: Materials for Energy and Sustainability 7: 24784-24787

Atomic Layer Deposition of Tin Monosulfide Using Vapor from Liquid Bis(N,​N'-​diisopropylformamidinato)​tin(II) and H2S
Kim, Sang Bok; Zhao, Xizhu; Davis, Luke M.; Jayaraman, Ashwin; Yang, Chuanxi; Gordon, Roy G.
(2019) ACS Applied Materials & Interfaces 11(49): 45892-45902

Atomic layer deposition of energy band tunable tin germanium oxide electron transport layer for the SnS-based solar cells with 400 mV open-circuit voltage
Chua, Danny; Kim, Sang Bok; Sinsermsuksakul, Prasert; Gordon, Roy 
(2019) Applied Physics Letters 114: 213901

Electrostatically Doped Silicon Nanowire Arrays for Multispectral Photodetectors
Um, Han-Don; Solanki, Amit; Jayaraman, Ashwin; Gordon, Roy G.; Habbal, Fawwaz
(2019) ACS Nano 13: 11717−11725

A Quinone-Based Redox Flow Battery at Near-Neutral pH with Record Capacity Retention Rate
Ji, Yunlong Goulet, Marc-Antoni; Pollack, Daniel; Kwabi, David G.; Jin, Shijian; De Porcellinis, Diana; Kerr, Emily; Gordon, Roy G.; Aziz, Michael J.
(2019) Aqueous Systems - Electrolytes/Electrode Materials, The Electrochemical Society

Spectrally selective detection with In2O3/n-Si radial heterojunction nanowire photodiodes
Um, Han-Don; Solanki, Amit; Jayaraman, Ashwin; Gordon, Roy G.; Habbal, Fawwaz
in Conference on Lasers and Electro-Optics, OSA Technical Digest, The Optical Society
(2019) paper SM4J.5

Molecular Engineering of an Alkaline Naphthoquinone Flow Battery
Tong, Liuchuan; Goulet, Marc-Antoni; Tabor, Daniel P.; Kerr, Emily F.; De Porcellinis Diana; Fell, Eric M.; Aspuru-Guzik, Alán; Gordon, Roy G.; Aziz, Michael J.
ACS Energy Letters
(2019) 4:1880-1887

A Long Lifetime Aqueous Organic Solar Flow Battery
Li, Wenjie; Kerr, Emily; Goulet, Marc‐Antoni; Fu, Hui‐Chun; Zhao, Yuzhou; Yang, Ying; Veyssal, Atilla; He, Jr‐Hau; Gordon, Roy G.; Aziz, Michael J.; Jin, Song 
Advanced Energy Materials
(2019) 9:1900918

Band-Offset Analysis of Atomic Layer Deposition La2O3 on GaAs(111), (110), and (100) Surfaces for Epitaxial Growth
Lou, Xiabing; Gong, Xian, Feng, Jun; Gordon, Roy
ACS Applied Materials Interfaces
(2019) 11:28515−28519

Strong, Long, Electrically Conductive and Insulated Coaxial Nanocables
Aydin, Aykut; Sun, Lu; Gong, Xian; Russell, Kasey J.; Carter, David J. D.; Gordon, Roy G.
ACS Applied Polymer Materials
(2019) 1:1717-1723

A High Voltage Aqueous Zinc–Organic Hybrid Flow Battery
Park, Minjoon; Beh, Eugene S.; Fell, Eric M.; Jin, Yan; Kerr, Emily F.; De Porcellinis, Diana; Goulet; Marc-Antoni; Ryu, Jaechan; Wong, Andrew W; Gordon, Roy G.; Cho, Jaephil; Aziz, Michael J. 
Advanced Energy
(2019) 9:1900694

A Water-Miscible Quinone Flow Battery with High Volumetric Capacity and Energy Density
Jin, Shijian; Jing, Yan; Kwabi, David G.; Ji, Yunlong; Tong, Liuchuan; De Porcellinis, Diana; Goulet, Marc-Antoni; Pollack, Daniel A; Gordon, Roy G.; Aziz, Michael J. 
ACS Energy Letters
(2019) 4:1342-1348

Symmetric All-Quinone Aqueous Battery
Tong, Liuchuan; Jing, Yan; Gordon, Roy G.; Aziz, Michael J. 
ACS Energy Letters
(2019) 2:4016-4021

A Long-Lifetime All-Organic Aqueous Flow Battery Utilizing TMAP-TEMPO Radical
Liu, Yahua; Goulet, Marc-Antoni; Tong, Liuchuan; Liu, Yazhi; Ji, Yunlong; Wu, Liang; Gordon, Roy G.; Aziz, Michael J.; Yang, Zhengjin; Xu, Tongwen
Chem
(2019) 7:1861-1870

Atomic layer deposition of energy band tunable tin germanium oxide electron transport layer for the SnS-based solar cells with 400 mV open-circuit voltage
Chua, Danny; Kim, Sang Bok; Sinsermsuksakul, Prasert; Gordon, Roy
Applied Physics Letters
(2019) 114:213901

Mapping the frontiers of quinone stability in aqueous media: implications for organic aqueous redox flow batteries
Tabor, Daniel P.; Gomez-Bombarelli, Rafael; Tong, Liuchuan; Gordon, Roy G.; Aziz, Michael J.; Aspuru-Guzik, Alán
Journal of Materials Chemistry A
(2019) 7:12833-12841

Extending the Lifetime of Organic Flow Batteries via Redox State Management
Goulet, Marc-Antoni; Tong, Liuchuan; Pollack, Daniel A.; Tabor, Daniel P.; Odom, Susan A.; Aspuru-Guzik, Alán; Kwan, Eugene E.; Gordon, Roy G.; Aziz, Michael J.
Journal of American Chemistry
(2019) 141:8014-8019

Synthesis of volatile, reactive coinage metal 5,5-bicyclic amidinates with enhanced thermal stability for chemical vapor deposition
Tong, Liuchuan; Davis, Luke M.; Gong, Xian; Feng, Jun; Beh, Eugene S.; Gordon, Roy, G. 
Dalton Transactions
(2019) 48:6709–6713

Enhancement of the open circuit voltage of Cu2O/Ga2O3 heterojunction solar cells through the mitigation of interfacial recombination
Chua, Danny; Kim, Sang Bok; Gordon, Roy. G
AIP Advances
(2019) 9:055203

A Phosphonate-Functionalized Quinone Redox Flow Battery at Near-Neutral pH with Record Capacity Retention Rate
Ji, Yunlong; Goulet, Marc-Antoni; Pollack, Daniel A.; Kwabi, David G.; Jin, Shijian; De Porcellinis, Diana; Kerr, Emily F.; Gordon, Roy G.; Aziz, Michael J.
Advanced Energy Materials 
(2019) 9:1900039

Vapor Deposition of Transparent, p‑Type Cuprous Iodide Via a Two-Step Conversion Process
Heasley, Rachel; Davis, Luke M.; Chua, Danny; Chang, Christina M.; Gordon, Roy G.
ACS Applied Energy Materials 
(2018) 1:953−6963

Total-Ionizing-Dose Responses of GaN-Based HEMTs With Different Channel Thicknesses and MOSHEMTs With Epitaxial MgCaO as Gate Dielectric
Bhuiyan, Maruf A., Zhou, Hong; Chang, Sung-Jae; Lou, Xiabing; Gong, Xian; Jiang, Rong; Gong, Huiqi; Zhang, En Xia; Won, Chul-Ho; Lim, Jong-Won; See, Jung-Hee; Gordon, Roy G.; Reed, Robert A.; Fleetwood, Daniel M.; Ye, Peide; Ma, Tso-Ping 
IEEE Transactions on Nuclear Science 
(2018) 65:46-52

Alkaline Benzoquinone Aqueous Flow Battery for Large-Scale Storage of Electrical Energy
Yang, Zhengjin; Tong, Liuchuan; Tabor, Daniel P.; Beh, Eugene S.; Goulet, Marc-Antoni; De Porcellinis, Diana; Aspuru-Guzik, Alán; Gordon, Roy G.; Aziz, Michael J.
Advanced Energy Materials 
(2018) 8:1702056

Obtaining a Low and Wide Atomic Layer Deposition Window (150–2758C) for In2O3 Films Using an InIII Amidinate and H2OK
Kim, Sang Bok; Jayaraman, Ashwin; Chua, Danny; Davis, Luke M.; Zheng, Shao-Liang; Zhao, Xizhu; Lee, Sunghwan; Gordon, Roy G.
Chemistry A European Journal
(2018) 24:9525–9529

Flow Batteries: Alkaline Benzoquinone Aqueous Flow Battery for Large‐Scale Storage of Electrical Energy (Adv. Energy Mater. 8/2018)
Yang, Zhengjin; Tong, Liuchuan; Tabor, Daniel P.; Beh, Eugene S.; Goulet, Marc‐Antoni; De Porcellinis, Diana; Aspuru‐Guzik, Alán; Gordon, Roy G.; Aziz, Michael J.
Advanced Energy Materials
(2018) 8:1870034

Alkaline Quinone Flow Battery with Long Lifetime at pH 12
Kwabi, David G.; Lin, Kaixiang; Ji, Yunlong; Kerr, Emily F.; Goulet, Marc-Antoni; De Porcellinis, Diana; Tabor, Daniel P.; Pollack, Daniel A.; Aspuru-Guzik, Alán; Gordon, Roy G.; Aziz, Michael J.
Joule
(2018) 2:1894-1906

Alkaline Benzoquinone Aqueous Flow Battery for Large-Scale Storage of Electrical Energy
Yang, Zhengjin; Tong, Liuchuan; Tabor, Daniel P.; Beh, Eugene S.; Goulet, Marc-Antoni; De Porcellinis, Diana; Aspuru-Guzik, Alán; Gordon, Roy G.; Aziz, Michael J.
Advanced Energy Materials
(2017) 8:1702056

UV-Vis Spectrophotometry of Quinone Flow Battery Electrolyte for in-situ Monitoring and Improved Electrochemical Modeling of Potential and Quinhydrone Formation
Tong, Liuchuan; Chen, Qing; Wong, Andrew A.; Gomez-Bombarelli, Rafael; Aspuru-Guzik, Alán; Gordon, Roy G.; Aziz, Michael J.
Physical Chemistry Chemical Physics
(2017) 19:31684-31691

DC and RF Performance of AlGaN/GaN/SiC MOSHs with Deep Sub-Micron T-Gates and Atomic Layer Epitaxy MgCaO as Gate Dielectric
Zhou, Hong; Lou, Xiabing; Sutherlin, Karyann; Summers, Jarren; Kim, Sang Bok; Chabak, Kelson D.; Gordon, Roy G.; Ye, Peide D.
IEEE Electron Device Letters
(2017) 38:1409-1412

Measurement of contact resistivity at metal-tin sulfide (SnS) interfaces
Yang, Chuanxi; Sun, Leizhi; Brandt, Riley E.; Kim, Sang Bok; Zhao, Xizhu; Feng, Jun; Buonassisi, Tonio; Gordon, Roy G.
Journal of Applied Physics
(2017) 122:045303.1-045303.5

Enhancement-Mode AlGaN/GaN Fin-MOSHEMTs on Si Substrate With Atomic Layer Epitaxy MgCaO
Zhou, Hong; Lou, Xiabing; Kim, Sang Bok; Chabak, Kelson D.; Gordon, Roy G.; Ye, Peide D.
IEEE Electron Device Letters
(2017) 38:1294-1297

Novel phase diagram behavior and materials design in heterostructural semiconductor alloys
Holder, Aaron M.; Siol, Sebastian; Ndione, Paul F.; Peng, Haowei; Deml, Ann M.; Matthews, Bethany E.; Schelhas, Laura T.; Toney, Michael F.; Gordon, Roy G.; Tumas, William; Perkins, John D.; Ginley, David S.; Gorman, Brian P.; Tate, Janet; Zakuteyev, Andriy; Lany, Stephan
Science Advances
(2017) 3:1-7

Synthesis of 5,5-Bicyclic Amidines as Ligands for Thermally Stable Vapor Deposition Precursors
Beh, Eugene S.; Tong, Liuchuan; Gordon, Roy G.
Organometallics
(2017) 36:1453-1456

Pure and conformal CVD nickel and nickel monosilicide in high-aspect-ratio structures analyzed by atom probe tomography
Li, Kecheng; Feng, Jun; Kwak, Junkeun; Yang, Jing; Gordon, Roy G.
Journal of Applied Physics
(2017) 121:175301.1-175301.6

Quantitative Evaluation of Cobalt Disilicide/Si Interfacial Roughness
Yang, Jing; Feng, Jun; Li, Kecheng; Bhandari, Harish B.; Li, Zhefeng; Gordon, Roy G.
ECS Journal of Solid State Science and Technology
(2017) 6:P345-P349

Direct-Liquid-Evaporation Chemical Vapor Deposition of Nanocrystalline Cobalt Metal for Nanoscale Copper Interconnect Encapsulation
Feng, Jun; Gong, Xian; Lou,  Xiabing; Gordon, Roy G.
Applied Materials and Interfaces
(2017) 9:10914-10920

A Neutral pH Aqueous Organic-Organometallic Redox Flow Battery with Extremely High Capacity Retention
Beh, Eugene S.; De Porcellinis, Diana; Gracia, Rebecca L.; Xia, Kay T.; Gordon, Roy G.; Aziz, Michael J.
ACS Energy Letters
(2017) 2:639-644

Anthraquinone Derivatives in Aqueous Flow Batteries
Gerhardt, Michael R.; Tong, Liuchuan; Gomez-Bombarelli, Rafael; Chen, Qing; Marshak, Michael P.; Galvin, Cooper J.; Aspuru-Guzik, Alán; Gordon, Roy G.; Aziz, Michael J.
Advanced Energy Materials
(2017) 1601488:1-9

Total Ionizing Dose (TID) Effects in GaAs MOSFETs With La-Based Epitaxial Gate Dielectrics
Ren, Shufeng; Bhuiyan, Maruf A.; Zhang, Jingyun; Lou, Xiabing; Si, Mengwei; Gong, Xian; Jiang, Rong; Ni, Kai; Wan, Xin; Zhang, En Xia; Gordon, Roy G.; Reed, Robert A.; Fleetwood, Daniel M.; Ye, Peide; Ma, T.P.
IEEE Transactions on Nuclear Science
(2017) 64:164-169

Comparison of Capacity Retention Rates During Cycling of Quinone-Bromide Flow Batteries
Gerhardt, Michael R.; Beh, Eugene S.; Tong, Liuchuan; Gordon, Roy G.; Aziz, Michael J.
MRS Advances
(2017) 2:431-438

Vapor Deposition of Copper-Manganese Interconnects
Feng, Jun; Li, Kecheng; Gong, Xian; Gordon, Roy G.
IEEE International Interconnect Technology Conference / Advanced Metallization Conference (IITC/AMC)
(2016) 177-179

Synthetic and Spectroscopic Study of the Mechanism of Atomic Layer Deposition of Tin Dioxide
Weimer, Matthew S.; Hu, Bo; Kraft, Steven J.; Gordon, Roy G.; Segre, Carlo U.; Hock, Adam S.
Organometallics
(2016) 35:1202-1208

High-performance InAIN/GaN MOSHEMTs enabled by atomic layer epitaxy MgCaO as gate dielectric
Zhou, Hong; Lou, Xiabing; Conrad, Nathan J.; Si, Mengwei; Wu, Heng; Alghamdi, Sami; Guo, Shiping; Gordon, Roy G.; Ye, Peide D.
IEEE Electron Device Letters
(2016) 37(5):556-559

Epitaxial Growth of MgxCa1-xO on GaN by Atomic Layer Deposition
Lou, Xiabing; Zhou, Hong; Kim, Sang Bok; Alghamdi, Sami; Gong, Xian; Feng, Jun; Wang, Xinwei; Ye, Peide D.; Gordon, Roy G.
Nano Letters
(2016) 16:7650-7654

Vapor deposition of copper(I) bromide films via a two-step conversion process
Heasley, Rachel; Chang, Christina M.; Davis, Luke M.; Liu, Kathy; Gordon, Roy G.
Journal of Vacuum Science and Technology A
(2016) 35:01B109.1-01B109.6

A Two-Step Absorber Deposition Approach To Overcome Shunt Losses in Thin-Film Solar Cells: Using Tin Sulfide as a Proof-of-Concept Material System
Steinmann, Vera; Chakraborty, Rupak; Rekemeyer, Paul H.; Hartman, Katy; Brandt, Riley E.; Polizzotti, Alex; Yang, Chuanxi; Moriarty, Tom; Gradecak, Silvija; Gordon, Roy G.; Buonassisi, Tonio
Applied Materials and Interfaces
(2016) WebA-G

Synthesis of Calcium(II) Amidinate Precursors for Atomic Layer Deposition through a Redox Reaction between Calcium and Amidines
Kim, Sang Bok; Yang, Chuanxi; Powers, Tamara; Davis, Luke M.; Lou, Xiabing; Gordon, Roy G.
Angewandte Chemie International Edition
(2016) 55:10228-10233

A redox-flow battery with an alloxazine-based organic electrolyte
Lin, Kaixiang; Gomez-Bombarelli, Rafael; Beh, Eugene S.; Tong, Liuchuan; Chen, Qing; Valle, Alvaro; Aspuru-Guzik, Alán; Aziz, Michael J.; Gordon, Roy G.
Nature Energy
(2016) 1-8

The impact of sodium contamination in tin sulfide thin-film solar cells
Steinmann, Vera; Brandt, Riley E.; Chakraborty, Rupak; Jaramillo, R.; Young, Matthew; Ofori-Okai, Benjamin K.; Yang, Chuanxi; Polizzotti, Alex; Nelson, Keith A.; Gordon, Roy G.; Buonassisi, Tonio
APL Materials
(2016) 4:026103.1-026103.7

Transient terahertz photoconductivity measurements of minority-carrier lifetime in tin sulfide thin films: Advanced metrology for an early stage photovoltaic material
Jaramillo, R.; Sher, Meng-Ju; Ofori-Okai, Benjamin K.; Steinmann, V.; Yang, Chuanxi; Hartman, Katy; Nelson, Keith A.; Lindenberg, Aaron M.; Gordon, Roy G.; Buonassisi, T.
Journal of Applied Physics
(2016) 119:035101.1-035101.16

InAIN/GaN MOSHEMTs with High Drain Current of 2.3 A/mm High On/Off Ratio of 1012 and Low SS of 64 mV/dec Enabled by Atomic-Layer-Epitaxial MgCaO as Gate Dielectric
Zhou, Hong; Lou, Xiabing; Wu, Heng; Alghamdi, Sami; Guo, Shiping; Gordon, R.G.; Ye, Peide D.
Device Research Conference
(2015) 1-2

AlGaN/GaN MOSHEMT on Si Substrate with High on/off Ratio and High Off-state Breakdown Voltage Enabled by Atomic Layer Epitaxial MgCaO as Gate Dielectric
Zhou, Hong; Lou, Xiabing; Chabak, Kelson D.; Gordon, R.G.; Ye, Peide D.
46th IEEE International Electron Devices Meeting
(2015) 1-3

InGaAs 3D MOSFETs with Drastically Different Shapes Formed by Anisotropic Wet Etching
Zhang, J.; Si, M.; Lou, X.B.; Wu, W.; Gordon, R.G.; Ye, P.D.
IEEE International Electron Devices Meeting
(2015) 1-4

Evaluating performance limiting defects in novel thin-film materials for solar cells
Steinmann, V.; Chakraborty, R.; Polizzotti, A.; Akin, A.; Hartman, K.; Mangan, N.M.; Gordon, R.G.; Buonassisi, T.
Materials Research Society Conference Session NN: Thin-Film and Nanostructure Solar Cell Materials and Devices for Next-Generation Photovoltaics
(2015) 1-13

Selective manganese deposition for Cu_low k nano device interconnect
Nguyen, Son V.; Vo, T.; Priyadarshini, D.; Haigh Jr., T.; Nogami, T.; Cohen, S.; Flaitz, P.; Lin, Y.; Shobha, H.; Grill, A.; Canaperi, D.; Gordon, Roy
Proceedings of the AVS Atomic Layer Deposition Conference
(2015) 1

Atomic layer deposited Indium oxy-sulfide on CZT(S,Se) absorbers
Jayaraman, Ashwin; Kim, Sang Bok; Gordon, Roy G.; Gershon, Talia; Lee, Yun Song; Gunawan, Oki; Haight, Richard
Materials Research Society Conference
(2015) 1-12

High-performance Aqueous Redox Flow Battery (ARFB)
Lin, Kaixiang; Chen, Qing; Eisenach, Louise; Valle, Alvaro; Gordon, Roy G.; Aziz, Michael J.; Marshak, Michael P.
American Chemical Society Conference
(2015) 1-13

ALD of Manganese Silicate
Gordon, Roy G.; Sun, Lu; Chen, Qiang; Park, Jin-Seong; Kim, Sang Bok
Proceedings of the AVS Atomic Layer Deposition Conference
(2015) 1-20

Advanced Atomic Layer Deposition and Epitaxy Processes
Gordon, Roy G.
International Symposium on VLSI Technology, Systems and Applications
(2015) 1-43

Selective Vapor Deposition
Gordon, Roy G.
AVS 62nd International Symposium and Exhibition
(2015) 1-46

Non-monotonic effect of growth temperature on carrier collection in SnS solar cells
Chakraborty, R.; Steinmann, V.; Mangan, N.M.; Brandt, R.E.; Poindexter, J.R.; Jaramillo, R.; Mailoa, J.P.; Hartman, K.; Polizzotti, A.; Yang, C.; Gordon, R.G.; Buonassisi, T.
Applied Physics Letters
(2015) 106:203901.1-203906.5

Dopant Activation in Sn-doped Ga2O3 investigated by X-ray absorption spectroscopy
Siah, S.C.; Brandt, R.E.; Lim, K.; Schelhas, L.T.; Jaramillo, R.; Heinemann, M.D.; Chua, D.; Wright, J.; Perkins, J.D.; Segre, C.U.; Gordon, R.G.; Toney, M.F.; Buonassisi, T.
Applied Physics Letters
(2015) 107:252103.1-252103.5

Front and Back Contact Modification as a Route to Increasing Open Circuit Voltage in CZTS,Se Devices
Haight, Richard; Lee, Yun S.; Ek, Bruce; Gershon, Talia; Gunawan, Oki; Gordon, Roy; Jayaraman, Ashwin; Sardashti, Kasra; Kummel, Andrew; Gokmen, Ravin Mankad Tayfun; McCandless, Brian; Bishop, Doug; Lloyd, Mike; Chua, Danny; Vogel, Michael; Chagarov, Evgueny
Materials Research Society Conference
(2015) 1-32

Voc impact of orientation-dependent X in anisotropic PV absorbers
Chakraborty, Rupak; Needleman, David Berney; Doolittle, Kelsey; Mangan, Niall M.; Steinmann, Vera; Poindexter, Jeremy R.; Polizzotti, Alex; Yang, Chuanxi; Gordon, Roy G.; Buonassisi, Tonio
Materials Research Society Conference
(2015) 1-16

Effect of growth temperature on carrier collection in SnS-based solar cells
Chakraborty, Rupak; Steinmann, Vera; Poindexter, Jeremy R.; Jaramillo, Rafael; Hartman, Katy; Polizzotti, Alex; Brandt, Riley E.; Mangan, Niall; Yang, Chuanxi; Gordon, Roy G.; Buonassisi, Tonio
Materials Research Society Conference Symposium B: Thin Film Compound Semiconductor Photovoltaics
(2015) 1

Bromine-free quinone flow battery chemistries
Marshak, Michael P.; Aziz, Michael J.; Gordon, Roy G.
American Chemical Society Meeting
(2015) 1-46

Direct-Liquid-Evaporation Chemical Vapor Deposition of Smooth, Highly Conformal Cobalt and Cobalt Nitride Thin Films
Yang, Jing; Li, Kecheng; Feng, Jun; Gordon, Roy G.
Journal of Materials Chemistry C
(2015) 3:12098-12106

Alkaline quinone flow battery
Lin, Kaixiang; Chen, Qing; Gerhardt, Michael R.; Tong, Liuchuan; Kim, Sang Bok; Eisenach, Louise; Valle, Alvaro W.; Hardee, David; Gordon, Roy G.; Aziz, Michael J.; Marshak, Michael P.
Science
(2015) 349:1529-1532

Framework to predict optimal buffer layer pairing for thin film solar cell absorbers: A case study for tin sulfide/zinc oxysulfide
Mangan, Niall M.; Brandt, Riley E.; Steinmann, Vera; Jaramillo, R.; Yang, Chuanxi; Poindexter, Jeremy R.; Chakraborty, Rupak; Park, Helen Hejin; Zhao, Xizhu; Gordon, Roy G.; Buonassisi, Tonio
Journal of Applied Physics
(2015) 118:115102.1-115102.9

Making Record-efficiency SnS Solar Cells by Thermal Evaporation and Atomic Layer Deposition
Jaramillo, Rafael; Steinmann, Vera; Yang, Chuanxi; Hartman, Katy; Chakraborty, Rupak; Poindexter, Jeremy R.; Castillo, Mariela Lizet; Gordon, Roy; Buonassisi, Tonio
(2015) 99:1-20

Inversion-mode GaAs wave-shaped field-effect transistor on GaAs (100) substrate
Zhang, Jingyun; Lou, Xiabing; Si, Mengwei; Wu, Heng; Shao, Jiayi; Manfra, Michael J.; Gordon, Roy G.; Ye, Leide D.
Applied Physics Letters
(2015) 106:073506.1-073506.4

Quinone electrochemistry in acidic and alkaline solutions and its application in large-scale energy storage
Gerhardt, Michael R.; Chen, Qing; Lin, Kaixiang; Marshak, Michael P.; Tong, Liuchuan; Galvin, Cooper; Gordon, Roy G.; Aziz, Michael J.
250th American Chemical Society Meeting
(2015) 1-62

Dissecting the Quinone Bromide Flow Battery
Chen, Qing; Gerhardt, Michael R.; Eisenach, Louise; Marshak, Michael P.; Gordon, Roy G.; Aziz, Michael J.
Electrochemical Society Meeting
(2015) 1-16

Band offsets of n-type electron-selective contacts on cuprous oxide (Cu2O) for photovoltaics
Brandt, Riley E.; Young, Matthew; Park, Helen Hejin; Dameron, Arrelaine; Chua, Danny; Lee, Yun Seog; Teeter, Glenn; Gordon, Roy G.; Buonassisi, Tonio
Applied Physics Letters
(2014) 105:263901.1-263901.5

Torque magnetometry of an amorphus-alumina/strontium-titanate interface
Tomarken, S.L.; Young, A.F.; Lee, S.W.; Gordon, R.G.; Ashoori, R.C.
Physical Review B
(2014) 90:201113.1-201113.4

Atomic layer deposition of Al-incorporated Zn(O,S) thin films with tunable electrical properties
Park, Helen Hejin; Jayaraman, Ashwin; Heasley, Rachel; Yang, Chuanxi; Hartle, Lauren; Mankad, Ravin; Haight, Richard; Mitzi, David B.; Gunawan, Oki; Gordon, Roy G.
Applied Physics Letters
(2014) 105:202101.1-202101.4

Synthesis of N-Heterocyclic Stannylene (Sn(II)) and Germylene (Ge(II)) and a Sn(II) Amidinate and Their Application as Precursors for Atomic Layer Deposition
Kim, Sang Bok; Sinsermsuksakul, Prasert; Hock, Adam S.; Pike, Robert D.; Gordon, Roy G.
Chemistry of Materials
(2014) 26:3065-3073

Why don't we have inexpensive PV systems made from Earth-abundant elements?
Gordon, Roy G.
248th ACS National Meeting and Exposition, San Francisco, CA 8.10-14.2014
(2014) PRES-7

Improved Cu2O-Based Solar Cells Using Atomic Layer Deposition to Control the Cu Oxidation State at the p-n Junction
Lee, Sang Woon; Lee, Yun Seog; Heo, Jaeyeong; Siah, Sin Cheng; Chua, Danny; Brandt, Riley E.; Kim, Sang Bok; Mailoa, Jonathan P.; Buonassisi, Tonio; Gordon, Roy G.
Advanced Energy Materials
(2014) 1301916:1-7

Co-optimization of SnS absorber and Zn(O,S) buffer materials for improved solar cells
Park, Helen Hejin; Heasley, Rachel; Sun, Leizhi; Steinmann, Vera; Jaramillo, Rafael; Hartman, Katy; Chakraborty, Rupak; Sinsermsuksakul, Prasert; Chua, Danny; Buonassisi, Tonio; Gordon, Roy G.
Progress in Photovoltaics: Research and Applications
(2014) 23:901-908

A metal-free organic-inorganic aqueous flow battery
Huskinson, Brian; Marshak, Michael P.; Suh, Changwon; Er, Suleyman; Gerhardt, Michael R.; Galvin, Cooper J.; Chen, Xudong; Aspuru-Guzik, Alán; Gordon, Roy G.; Aziz, Michael J.
Nature
(2014) 505:195-198

3.88% Efficient Tin Sulfide Solar Cells using Congruent Thermal Evaporation
Steinmann, Vera; Jaramillo, R.; Hartman, Katy; Chakraborty, Rupak; Brandt, Riley E.; Poindexter, Jeremy R.; Lee, Yun Seog; Sun, Leizhi; Polizzotti, Alexander; Park, Helen Hejin; Gordon, Roy G.; Buonassisi, Tonio
Advanced Materials
(2014) 1-5

Overcoming Efficiency Limitations of SnS-Based Solar Cells
Sinsermsuksakul, Prasert; Sun, Leizhi; Lee, Sang Woon; Park, Helen Hejin; Kim, Sang Bok; Yang, Chuanxi; Gordon, Roy G.
Advanced Energy Materials
(2014) 1-7

X-ray absorption spectroscopy elucidates the impact of structural disorder on electron mobility in amorphous zinc-tin-oxide thin films
Siah, Sin Cheng; Lee, Sang Woon; Lee, Yun Seog; Heo, Jaeyeong; Shibata, Tomohiro; Segre, Carlo U.; Gordon, Roy G.; Buonassisi, Tonio
Applied Physics Letters
(2014) 104:242113.1-242113.5

Atomic Layer Deposited Gallium Oxide Buffer Layer Enables 1.2 V Open-Circuit Voltage in Cuprous Oxide Solar Cells
Lee, Yun Seog; Chua, Danny; Brandt, Riley E.; Siah, Sin Cheng; Li, Jian V.; Mailoa, Jonathan P.; Lee, Sang Woon; Gordon, Roy G.; Buonassisi, Tonio
Advanced Materials
(2014) 26:4704-4710

Nitrogen-doped cuprous oxide as a p-type hole-transporting layer in thin-film solar cells
Lee, Yun Seog; Heo, Jaeyeong; Winkler, Mark T.; Siah, Sin Cheng; Kim, Sang Bok; Gordon, Roy G.; Buonassisi, Tonio
Journal of Materials Chemistry A
(2013) 1:15416-15422

Ultrathin amorphous zinc-tin-oxide buffer layer for enhancing heterojunction interface quality in metal-oxide solar cells
Lee, Yun Seog; Heo, Jaeyeong; Siah, Sin Cheng; Mailoa, Jonathan P.; Brandt, Riley E.; Kim, Sang Bok; Gordon, Roy G.; Buonassisi, Tonio
Energy and Environmental Science
(2013) 6:2112-2118

Band Alignment of SnS/Zn(O,S) heterojunctions in SnS thin film solar cells
Sun, Leizhi; Haight, Richard; Sinsermsuksakul, Prasert; Kim, Sang Bok; Park, Helen H.; Gordon, Roy G.
Applied Physics Letters
(2013) 103:181904/1-181904/5

Origin of the self-limited electron densities at Al2O3/SrTiO3 heterostructures grown by atomic layer deposition - oxygen diffusion model
Lee, Sang Woon; Heo, Jaeyeong; Gordon, Roy G.
Nanoscale
(2013) 8940-8944

Variability Improvement by Interface Passivation and EOT Scaling of InGaAs Nanowire MOSFETs
Gu, Jiangjiang G.; Wang, Xinwei; Wu, Heng; Gordon, Roy G.; Ye, Peide D.
IEEE Electron Device Letters
(2013) 34:608-610

Atomic Layer Deposition of Zn(O,S) Thin Films with Tunable Electrical Properties by Oxygen Annealing
Park, Helen Hejin; Heasley, Rachel; Gordon, Roy G. 
Applied Physics Letters
(2013) 102:132110/1-132110/5

GaAs Enhancement-Mode NMOSFETs Enabled by Atomic Layer Epitaxial La1.8Y0.2O3 as Dielectric
Dong, L.; Wang, X.W.; Zhang, J.Y.; Li, X.F.; Gordon, R.G.; Ye, P.D. 
IEEE Electron Device Letters
(2013) 34:487-489

Effects of forming gas anneal on ultrathin InGaAs nano wire metal-oxide-semiconductor field-effect transistors
Si, Mengwei; Gu, Jiangjiang J.; Wang, Xinwei; Shao, Jiayi; Li, Xuefei; Manfra, Michael J.; Gordon, Roy G.; Ye, Peide D. 
Applied Physics Letters
(2013) 102:093505/1-093505/4

High-Quality Epitaxy of Ruthenium Dioxide, RuO2, on Rutile Titanium Dioxide, TiO2, by Pulsed Chemical Vapor Deposition
Wang, Xinwei; Gordon, Roy G.
Crystal Growth and Design
(2013) 13:1316-1321

Heteroepitaxy of La2O3 and La2-xYxO3 on GaAs (111)A by Atomic Layer Deposition: Achieving Low Interface Trap Density
Wang, Xinwei; Dong, Lin; Zhang, Jingyun; Liu, Yiqun; Ye, Peide D.; Gordon, Roy G.
Nano Letters
(2013) 13:594-599

Enhancing the efficiency of SnS solar cells via band-offset engineering with a zinc oxysulfide buffer layer
Sinsermsuksakul, Prasert; Hartman, Katy; Kim, Sang Bok; Heo, Jaeyeong; Sun, Leizhi; Park, Helen Hejin; Chakraborty, Rupak; Buonassisi, Tonio; Gordon, Roy G. 
Applied Physics Letters
(2013) 102:053901/1-053901/5

Smooth, Low-Resistance, Pinhole-Free, Conformal Ruthenium Films by Pulsed Chemical Vapor Deposition
Wang, Xinwei; Gordon, Roy G
ECS Journal of Solid State Science and Technology
(2013) 2:N41-N44

Formation of Cobalt Disilicide on 3D Structures from Highly Conformal Cobalt Nitride Thin Films by Low-temperature Chemical Vapor Deposition from a Liquid Cobalt Amidinate Precursor
Yang, Jing; Bhandari, Harish B.; Gordon, Roy G.; Wang, Qing Min; Lehn, Jean-Sebastien M.; Lu, Huazhi; Shenai, Deo
Proceedings of the 2012 Materials Research Society Meeting and Exhibit
(2012)

Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects
Yang, Jing; Bhandari, Harish B.; Gordon, Roy G.; Wang, Qing Min; Lehn, Jean-Sebastien M.; Li, Huazhi; Shenai, Deo
Proceedings of the 2012 Materials Research Society Meeting and Exhibit
(2012)

Antimony-Doped Tin(II) Sulfide Thin Films
Sinsermsuksakul, Prasert; Chakraborty, Rupak; Kim, Sang Bok; Heald, Steven M.; Buonassisi, Tonio; Gordon, Roy G.
Chemistry of Materials
(2012)  24:4556-4562

Size-dependent Transport Study of In0.53Ga0.47As Gate-All-Around Nanowire MOSFETs: Impact of Quantum Confinement and Volume Inversion
Gu, Jiangjiang J.; Wu, Heng; Liu, Yiqun; Neal, Adam T.; Gordon, Roy G.; Ye, Peide D.
IEEE Electron Device Letters
(2012) 33:967-969

Thermal chemistry of copper(I)-N,N'-di-sec-butylacetamidinate on Cu(110) single-crystal surfaces
Ma, Qiang; Zaera, Francisco; Gordon Roy G.
Journal of Vacuum Science and Technology A Vacuum Surfaces and Films
(2012) 30:01A114.1-01A114.10

Atomic Layer Disposition of Sc2O3 for passivating AlGaN/GaN high electron mobility transistor devices
Wang, Xinwei; Saadat, Omair I.; Xi, Bin; Lou, Xiabing; Molnar, Richard J.; Palacios, Tomas; Gordon, Roy G.
Applied Physics Letters
(2012) 101:232109/1-232109/4

III-V 4D Transistors
Gu, J.J.; Wang, X.W.; Shao, J.; Neal, A.T.; Manfra, M.J.; Gordon, R.G.; Ye, P.D.
IEEE Device Research Conference
(2012) 1-2

Glass-Encapsulated Light Harvesters: More Efficient Dye-Sensitized Solar Cells by Deposition of Self-Aligned, Conformal, and Self-Limited Silica Layers
Son, Ho-Jin; Wang, Xinwei; Prasittichai, Chaiya; Jeong, Nak Cheon; Aaltonen, Titta; Gordon, Roy G.; Hupp, Joseph T.
Journal of the American Chemical Society
(2012) 134:9537-9540

Chemical Vapor Deposition of Cobalt Nitride and its Application as an Adhesion-Enhancing Layer for Advanced Copper Interconnects
Bhandari, Harish B.; Yang, Jing; Kim, Hoon; Lin, Youbo; Gordon, Roy G.; Wang, Qing Min; Lehn, Jean-Sebastien M.; Li, Huazhi; Shenai, Deo
ECS Journal of Solid State Science and Technology
(2012) 1:N79-N84

Atomic layer deposited zinc tin oxide channel for amorphous oxide thin film transistors
Heo, Jaeyeong; Kim, Sang Bok; Gordon, Roy G.
Applied Physics Letters
(2012) 101:113507/1-113507/5

Creation and Control of Two-Dimensional Electron Gas Using Al-Based Amorphous Oxides/SrTiO3 Heterostructures Grown by Atomic Layer Deposition
Lee, Sang Woon; Liu, Yiqun; Heo, Jaeyeong; Gordon, Roy G.
Nano Letters
(2012) 12:4775-4783

Frequency response of LaAlO3/SrTiO3 all-oxide field-effect transistors
Liu, Qingmin; Dong, Lin; Liu, Yiqun; Gordon, Roy; Ye, Peide D.; Fay, Patrick; Seabaugh, Alan
Solid-State Electronics
(2012) 76:1-4

Synthesis of vanadium dioxide thin films on conducting oxides and metal-insulator transition characteristics
Cui, Yanjie; Wang, Xinwei; Zhou, You; Gordon, Roy; Ramanathan, Shriram
Journal of Crystal Growth
(2012) 338:96-102

Vapor Deposition of Highly Conformal Copper Seed Layers for Plating Through-Silicon Vias (TSVs)
Au, Yeung; Wang, Qing Min; Li, Huazhi; Lehn, Jean-Sebastien M.; Shenai, Deo V.; Gordon, Roy G.
Journal of The Electrochemical Society
(2012) 159:D382-D385

Atomic layer deposition of tin oxide with nitric oxide as an oxidant gas
Heo, Jaeyeong; Kim, Sang Bok; Gordon, Roy G.
Journal of Materials Chemistry
(2012) 22:4599-4602

Atomic Layer Deposition of Tin Monosulfide Thin Films
Sinsermsuksakul, Prasert; Heo, Jaeyeong; Noh, Wontae; Hock, Adam S.; Gordon, Roy G.
Advanced Energy Materials
(2011) 1:1116-1125

Impact of ultrathin Al2O3 barrier layer on electrical properties of LaLuO3 metal-oxide-semiconductor devices
Liu, Yiqun; Shen, Shaoping; Brillson, Leonard J.; Gordon, Roy G.
Applied Physics Letters
(2011) 98:122907/1-122907/3

Impact of ultrathin Al2O3 diffusion barriers on defects in high-k LaLuO3 on Si
Shen, S.; Liu, Y.; Gordon, R.G.; Brillson, L.J.
Applied Physics Letters
(2011) 98:172902/1-172902/3

(Sn,Al)Ox Films Grown by Atomic Layer Deposition
Heo, Jaeyeong; Liu, Yiqun; Sinsermsuksakul, Prasert; Li, Zhefeng; Sun, Leizhi; Noh, Wontae; Gordon, Roy G.
Journal of Physical Chemistry C
(2011) 115:10277-10283

Filling Narrow Trenches by Iodine-Catalyzed CVD of Copper and Manganese on Manganese Nitride Barrier/Adhesion Layers
Au, Yeong; Lin, Youbo; Gordon, Roy G.
Journal of The Electrochemical Society
(2011) 158:D248-D253 

First Experimental Demonstration of Gate-all-around III-V MOSFETs by Top-down Approach
Gu, J.J.; Liu, Y.Q.; Wu, Y.Q.; Colby, R.; Gordon, R.G.; Ye, P.D.
International Electron Device Meeting
(2011) 11:769-772

Three dimensional solid-state supercapacitors from aligned single-walled carbon nanotube array templates 
Pint, Cary L.; Nicholas, Nolan W.; Xu, Sheng; Sun, Zhengzong; Tour, James M.; Schmidt, Howard K.; Gordon, Roy G.; Hauge, Robert H.
Carbon
(2011) 49:4890-4897

Surface Chemistry of Copper(I) Acetaminidates in Connection with Atomic Layer Deposition (ALD) Processes 
Ma, Qiang; Guo, Hansheng; Gordon, Roy G.; Zaera, Francisco 
Chemistry of Materials
(2011) 23:3325-3334

Investigation of Thermal Stability and Delivery of Cobalt Amidinates and Novel Cobalt Formamidinates for Metallic Cobalt by ALD/CVD
Wang, Qing Min; Lehn, Jean-Sebastien; Li, Huazhi; Shenai, Deo; Yang, Jing; Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2011) 1

Atomic Layer Deposition and Chemical Vapor Deposition of Tin(II) Sulfide
Sinsermsuksakul, Prasert; Heo, Jaeyeong; Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2011) 1-14

Surface Chemistry of Copper Precursors in Connection with Atomic Layer Deposition (ALD) Processes
Ma, Qiang; Gordon, Roy G.; Zaera, Francisco
Proceedings of the AVS Atomic Lay Deposition Conference
(2011) 1-13

Atomic layer epitaxy of rare earth oxide films on GaAs(111)A and their device properties
Liu, Yiqun; Xu, Min; Heo, Jaeyeong; Ye, Peide D.; Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2011) 1-18

New Ni Amidinate Source for ALD/CVD of NiNx, NiO and NiSi
Li, Huazhi; Perera, Thiloma; Shenai, Deo V.; Li, Zhefeng; Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2011) 1-12

Novel Volatile Precursors of Palladium for ALD and CVD
Lehn, Jean-Sebastien M.; Shenai, Deo V.; Wang, Qing Min; Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2011) 1

(Sn,Al)Ox Films Grown by Atomic Layer Deposition
Heo, Jaeyeong; Liu, Yiqun; Sinsermsuksakul, Prasert; Li, Zhefeng; Sun, Leizhi; Noh, Wontae; Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2011) 1-14

Introduction to ALD Precursors and Reaction Mechanisms
Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2011) 1-67

Atomic Layer Deposition (ALD) and Chemical Vapor Deposition (CVD) of Copper-Based Metallization for Microelectronic Fabrication
Au, Yeung; Lin, Youbo; Kim, Hoon; Li, Zhengwen; Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2011) 1-19

ALD and Pulsed CVD of Ruthenium and Ruthenium Dioxide Thin Films from an Amidinate Precursor
Wang, Xinwei; Wang, Hongtao; Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2011) 1

Atomic-layer-deposited LaAlO3/SrTiO3 all oxide field-effect transistors
Dong, L.; Liu, Y.Q.; Xu, M.; Wu, Y.Q.; Colby, R.; Stach, E.A.; Droopad, R.; Gordon, R.G.; Ye, P.D.
Proceedings of the 2010 International Electron Device Meeting
(2010) 10/588-10/591

Heteroepitaxy of single-crystal LaLuO3 on GaAs(111)A by atomic layer deposition
Liu, Yiqun; Xu, Min; Heo, Jaeyeong; Ye, Peide D.; Gordon, Roy G.
Applied Physics Letters
(2010) 97:162910/1-162910/3

Low Temperature Epitaxial Growth of High Permittivity Rutile TiO2 on SnO2
Wang, Hong-Tao; Xu, Sheng; Gordon, Roy G.
Electrochemical and Solid-State Letters
(2010) 13:G75-G78

Uptake of Copper Acetamidinate ALD Precursors on Nickel Surfaces
Ma, Qiang; Guo, Han-Sheng; Gordon, Roy G.; Zaera, Francisco
Chemistry of Materials
(2010) 22:352-359

Direct-Liquid-Injection Chemical Vapor Deposition of Nickel Nitride Films and Their Reduction to Nickel Films
Li, Zhefeng; Gordon, Roy G.; Pallem, Venkateswara; Li, Huazhi; Shenai, Deo V.
Chemistry of Materials
(2010) 22:3060-3066

Formation of Nickel Silicide from Direct-Liquid-Injection Chemical-Vapor-Deposited Nickel Nitride Films
Li, Zhefeng; Gordon, Roy G.; Li, Huazhi; Shenai, Deo V.; Lavoie, Christian
Journal of The Electrochemical Society
(2010) 157:H679-H683

Raman Characterization and Polarity Tuning of Aligned Single-walled Carbon Nanotubes on Quartz
Lei, Bo; Ryu, Koungmin; De-Arco, Lewis Gomez; Han, Song; Badmaev, Alexander; Farmer, Damon; Kim, Kevin; Gordon, Roy G.; Wang, Kang L.; Zhou, Chongwu
Japanese Journal of Applied Physics
(2010) 48:02BC02/1-02BC02/5

Low Temperature Atomic Layer Deposition of Tin Oxide
Heo,  Jaeyeong;  Hock, Adam; Gordon, Roy G.
Chemistry of  Materials
(2010) 22:4964-4973

Low Temperature Atomic Layer Deposition of Tin Dioxide, SnO2
Heo, Jaeyeong; Hock, Adam S.; Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2010) 1-18

First-Principles Simulations of Conditions of Enhanced Adhesion Between Copper and TaN(111) Surfaces Using a Variety of Metallic Glue Materials
Han, Bo; Wu, Jinping; Zhou, Chenggang; Chen, Bei; Gordon, Roy G.; Lei, Xinjian; Roberts, David A.; Cheng, Hansong
Angewandte Chemie, International Edition
(2010) 49:148-152

High performance atomic-layer-deposited LaLuO3/Ge-on-insulator p-channel metal-oxide-semiconductor field-effect transistor with thermally grown GeO2 as interfacial passivation layer
Gu, J. J.; Liu, Y. Q.; Xu, M.; Celler, G. K.; Gordon, R. G.; Ye, P. D.
Applied Physics Letters
(2010) 97:012106/1-012106/3

Surface and Interface Processes during Atomic Layer Deposition of Copper on Silicon Oxide
Dai, Min; Kwon, Jinhee; Halls, Mathew D.; Gordon, Roy G.; Chabal, Yves J.
Langmuir
(2010) 26:3911-3917

Selective Chemical Vapor Deposition of Manganese Self-Aligned Capping Layer for Cu Interconnections in Microelectronics
Au, Yeung; Lin, Youbo; Kim, Hoon; Beh, Eugene; Liu, Yiqun; Gordon, Roy G.
Journal of The Electrochemical Society
(2010) 157:D341-D345 

Atomic Layer Deposition of Lanthanum-Based Ternary Oxides
Wang, Hongtao; Wang, Jun-Jieh; Gordon, Roy G.; Lehn, Jean-Sebastien M.; Li, Huazhi; Hong, Daewon; Shenai, Deo V.
Electrochemical and Solid-State Letters
(2009) 12:G13-G15

Atomic Layer Deposition of Ruthenium Thin Films from an Amidinate Precursor
Wang, Hongtao; Gordon, Roy G.; Alvis, Roger; Ulfig, Robert M.
Chemical Vapor Deposition
(2009) 15:312-319 

FTIR study of copper agglomeration during atomic layer deposition of copper
Dai, Min; Kwon, Jinhee; Chabal, Yves J.; Halls, Mathew D.; Gordon, Roy G.
Materials Research Society Symposium Proceedings (CMOS Gate-Stack Scaling)
(2009) 1155:1155-C11-06

In Situ Infrared Characterization during Atomic Layer Deposition of Lanthanum Oxide
Kwon, Jinhee; Dai, Min; Halls, Mathew  D.; Langereis, Erik; Chabal, Yves J.; Gordon, Roy G.
Journal of Physical Chemistry C
(2009) 113:654-660

On the Relative Stability of Cobalt- and Nickel-Based Amidinate Complexes Against Beta-Migration
Li, Jiaye; Wu, Jinping; Zhou, Chenggang; Han, Bo; Lei, Xinjian; Gordon, Roy G.; Cheng, Hansong 
International Journal of Quantum Chemistry
(2009) 109:756-763

Chemical Vapor Deposition (CVD) of Manganese Self-Aligned Diffusion Barriers for Cu Interconnections in Microelectronics
Gordon, Roy G.; Kim, Hoon; Au, Yeung; Wang, Hongtao; Bhandari, Harish B.; Liu, Yiqun; Lee, Don K.; Lin, Youbo
Advanced Metallization Conference Proceedings
(2009) 321-329

ALD high-k and higher-k integration on GaAs
Koybasi, Ozhan; Xu, Min; Liu, Yiqun; Wang, Jun-Jieh; Gordon, Roy G.; Ye, Peide D.
Proceedings of the AVS Atomic Layer Deposition Conference
(2009) 1-16

ALD and CVD Ni using Ni Amidinate Precursor
Li, Huazhi; Shenai, Deo V.; Li, Zhefeng; Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2009) 1-13

Surface Chemistry of Copper Amidinates on Metal Surfaces
Ma, Qiang; Lee, Ilkeun; Guo, Hansheng; Gordon, Roy G.; Zaera, Francisco
Proceedings of the AVS Atomic Layer Deposition Conference
(2009) 1-17

Atomic Layer Deposition and Characterization of MgO from Magnesium Bis(Di-secbutylacetamidinate) and Water
de Rouffignac, P.; Sullivan, N.; Beaulieu, D.; Park, J.-S.; Hock, A.; Gordon, R.G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2009) 1

ALD of Tin Monosulfide, SnS
Sinsermsuksakul, Prasert; Hock, Adam S.; Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2009) 1-17

Externally Assembled Gate-All-Around Carbon Nanotube Field-Effect Transistor
Chen, Zhihong; Farmer, Damon; Xu, Sheng; Gordon, Roy G.; Avouris, Phaedon; Appenzeller, Joerg
IEEE Electron Device Letter
(2008) 29:183-185

Ab Initio Molecular Dynamics Simulation on the Aggregation of a Cu Monolayer on a WN(001) Surface
Han, Bo; Wu, Jinping; Zhou, Chenggang; Li, Jiaye; Lei, Xinjian; Norman, John A. T.; Gaffney, Thomas R.; Gordon, Roy G.; Roberts, David A.; Cheng, Hansong
Journal of  Physical Chemistry C 
(2008) 112:9798-9802

Ultrathin CVD Cu Seed Layer Formation Using Copper Oxynitride Deposition and Room Temperature Remote Hydrogen Plasma Reduction
Kim, Hoon; Bhandari, Harish B.; Xu, Sheng; Gordon, Roy G.
Journal of The Electrochemical Society
(2008) 155:H496-H503

Effects of Low Temperature O2 Treatment on the Electrical Properties of Amorphous LaAlO3 Films Made by Atomic Layer Deposition
Liu, Y.; Kim, H.; Wang, J.-J.; Li, H.; Gordon, R. G. 
ECS Transactions
(2008) 16:471-478

Synthesis and characterization of volatile liquid cobalt amidinates
Li, Zhengwen; Lee, Don Kuen; Coulter, Michael; Rodriguez, Leonard  N. J.; Gordon, Roy G.
Dalton Transactions
(2008) 19:2592-2597

Mechanisms of Atomic Layer Deposition on Substrates with Ultrahigh Aspect Ratios
Kucheyev, S. O.; Biener, J.; Baumann, T.F.; Wang, Y.M.; Hamza, A. V.; Li, Z.; Lee, D.K.; Gordon, R. G.
Langmuir
(2008) 24:943-948

Synthesis and Characterization of Ruthenium Amidinate Complexes as Precursors for Vapor Deposition
Li, Huazhi; Aaltonen, Titta; Li, Zhengwen; Lim, Booyong S.; Gordon, Roy G.
The Open Inorganic Chemistry Journal
(2008) 2:11-17

Design for Precursors for ALD
Lehn, Jean-Sebastien; Li, Huazhi; Wang, Qing Min; Shenai, Deo V.; Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2008) 1-20

Designing Suitable Metal Amidinate Sources for TiN and Ba/Sr-containing Thin Films
Lehn, Jean-Sebstien; Wang, Qing Min; Hong, Daewon; Shenai, Deo; Wang, Hongtao; Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2008) 1-14

Oxide-encapsulated vertical germanium nanowire structures and their DC transport properties
Leu, Paul W.; Adhikari, Hemant; Koto, Makoto; Kim, Kyoung-Ha; de Rouffignac, Philippe; Marshall, Ann F.; Gordon, Roy G.; Chidsey, Christopher E. D.; McIntyre, Paul C.
Nanotechnology
(2008) 19:485705/1-485705/9

ALD of High-k LaErO3 and LaYO3 Using Metal Amidinate Sources
Li, Huazhi; Hong, Daewon; Shenai, Deo V.; Liu, Yiqun; Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2008) 1-13

Step Coverage by ALD Films: Theory and Examples of Ideal and Non-Ideal Reactions
Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2008) 1-52

Vapor Deposition of Ruthenium from an Amidinate Precursor
Li, Huazhi; Farmer, Damon B.; Gordon, Roy G.; Lin, Youbo; Vlassak, Joost
Journal of The  Electrochemical Society
(2007)154:D642-D647

Density Function Theory Study of Copper Agglomeration on the WN(001) Surface
Wu, Jinping; Han, Bo; Zhou, Chenggang; Lei, Xinjian; Gaffney, Thomas R.; Norman, John A. T.; Li, Zhengwen; Gordon, Roy G.; Cheng, Hansong
Journal of Physical Chemistry C
(2007) 111:9403-9406

Synthesis and Sublimination Kinetics of a Highly Volatile Asymmetric Iron(II) Amidinate
Li, Xin-Gui; Li, Zhengwen; Li, Huazhi; Gordon, Roy G.
European Journal of Inorganic Chemistry
(2007) 8:1135-1142

High density RU nanocrystal deposition for nonvolatile memory applications
Farmer, Damon B.; Gordon, Roy G.
Journal of Applied Physics
(2007) 101:124503/1-124503/5

In-situ FTIR Study of Atomic Layer Deposition (ALD) of Copper Metal Films
Dai, Min; Kwon, Jinhee; Langereis, Erik; Wielunski, Leszek; Chabal, Yves J.; Li, Zhengwen; Gordon, Roy G.
ECS Transactions
(2007) 11:91-101

Atmospheric pressure chemical vapor deposition of transparent conducting films of fluorine doped zinc oxide and their application to amorphous silicon solar cells
Liang, Haifan; Gordon, Roy G.
Journal of Materials Science
(2007) 42:6388-6399

Atomic layer deposition of insulating nitride interfacial layers for germanium metal oxide semiconductor field effect transistors with high-κ oxide/tungsten nitride gate stacks
Kim, Kyoung H.; Gordon, Roy G.; Ritenour, Andrew; Antoniadis, Dimitri A.
Applied Physics Letters 
(2007) 90:212104/1-212104/3

Computational Study on the Relative Reactivities of Cobalt and Nickel Amidinates via Beta-H Migration
Wu, Jinping; Li, Jiaye; Zhou, Chenggang; Lei, Xinjian; Gaffney, Thomas; Norman, John A.T.; Li, Zhengwen; Gordon, Roy G.; Cheng, Hansong
Organometallics
(2007) 26:2803-2805

New Precursors for ALD of High-k Dielectrics
Gordon, Roy G.; Lehn, Jean-Sebastien; Liu, Yiqun; Kim, Kyoung H.; Li, Zhengwen; Couler, Michael; Li, Huazhi; Pugh, Ralph; Shenai, Deo
Proceedings of the AVS Atomic Layer Deposition Conference
(2007) 1-25

Nucleation mechanisms of atomic layer deposition of lanthanum oxide on Si
Kwon, Jinhee; Dai, Min; Langereis, Erik; Wielunski, Leszek; Chabal, Yves J.; Kim, Kyoung-Ha; Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2007) 1-16

Designing Suitable ALD Precursors for High-k Dielectrics, Barriers and Metal Applications
Shenai, Deo V.; Li, Huazhi; Wang, QingMin; Senzaki, Yoshi; Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2007) 1-21

Atomic Layer Deposition of Ultrathin Copper Metal Films from a Liquid Copper(I)Amidinate Precursor
Li, Zhengwen; Rahtu, Antti; Gordon, Roy G.
Journal of The Electrochemical Society
(2006) 153:C787-C794

Atomic layer deposition of gadolinium scandate films with high dielectric constant and low leakage current
Kim, Kyoung H.; Farmer, Damon B.; Lehn, Jean-Sebastien M.; Rao, P. Venkateswara; Gordon, Roy G.
Applied Physics Letters 
(2006) 89:133512/1-133512/3

Thin, Continuous, and Conformal Copper Films by Reduction of Atomic Layer Deposited Copper Nitride
Li, Zhengwen; Gordon, Roy G.
Chemical Vapor Deposition
(2006) 12:435-441

ALD of Scandium Oxide from Scandium Tris(N,N'-diisopropylacetamidinate) and Water
de Rouffignac, Philippe; Yousef, Andrew P.; Kim, Kyoung H.; Gordon, Roy G.
Electrochemical Solid-State Letters
(2006) 9:F45-F48

Atomic Layer Deposition of Praseodymium Aluminum Oxide for Electrical Applications
de Rouffignac, Philippe; Gordon, Roy G.
Chemical Vapor Deposition
(2006) 12:152-157

Atomic Layer Deposition on Suspended Single-Walled Carbon Nanotubes via Gas-Phase Noncovalent Functionalization
Farmer, Damon; Gordon, Roy G.
Nano Letters
(2006) 6:699-703

Choice of ALD Precursors for Microelectronics and Nanoelectronics
Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2006) 1-39

Atomic Layer Deposition of Y2O3 Thin Films from Yttrium Tris(N,N'-diisopropylacetamidinate) and Water
de Rouffignac, Philippe; Park, Jin-Seong; Gordon, Roy G.
Chemistry of Materials
(2005) 17:4808-4814

Tantalum(V) Nitride Inverse Opals as Photonic Structures for Visible Wavelengths
Rugge, Alessandro; Park, Jin-Seong; Gordon, Roy G.; Tolbert, Sarah H.
Journal of Physical Chemistry B 
(2005) 109:3764-3771

Self-Aligned 40 nm Channel Carbon Nanotube Field-Effect Transistors with Subthreshold Swings Down to 70mV/decade
Javey, Ali; Farmer, Damon; Gordon, Roy G.; Dai, Hongjie
Proceedings of SPIE-The International Society for Optical Engineering (Quantum Sensing and Nanophotonic Devices II, Invited Paper)
(2005) 5732:14-18

Nucleation and Adhesion of ALD Copper on Cobalt Adhesion Layers and Tungsten Nitride Diffusion Barriers
Li, Zhengwen; Gordon, Roy G.; Farmer, Damon B.; Lin, Youbo; Vlassak, Joost
Electrochemical and Solid-State Letters
(2005) 8:G182-G185

ALD of Hafnium Oxide Thin Films from Tetrakis(ethylmethylamino)hafnium and Ozone
Liu, Xinye; Ramanathan, Sasangan; Longdergan, Ana; Srivastava, Anuranjan; Lee, Eddie; Seidel, Thomas E.; Barton, Jeffrey T.; Pang, Dawen; Gordon, Roy G.
Journal of The Electrochemical Society
(2005) 152:G213-G219

Precursors for Atomic Layer Deposition of High-κ Dielectrics
Musgrave, Charles B.; Gordon, Roy G.
Future Fab International, Process Gases, Chemicals and Materials
(2005) 18:126-128

ALD of High-κ dielectrics on Suspended Functionalized SWNTs
Farmer, Damon; Gordon, Roy G.
Electrochemical and Solid-State Letters
(2005) 8:G89-G91

Synthesis and Characterization of Copper(I) Amidinates as Precursors for Atomic Layer Deposition (ALD) of Copper Metal
Li, Zhengwen; Barry, Sean T.; Gordon, Roy G.
Inorganic Chemistry
(2005) 44:1728-1735

High Performance n-Type Carbon Nanotube Field-Effect Transistors with Chemically Doped Contacts
Javey, Ali; Tu, Ryan; Farmer, Damon B.; Guo, Jing; Gordon, Roy G.; Dai, Hongjie
Nano Letters
(2005) 5:345-348

ALD process for the preparation of noble-metal-free monolithic catalysts
Bahlawane, Naoufal; Kohse-Hoeinghaus, Katharina; Park, Jin-Seong; Gordon, Roy G.
Electrochemical Society Proceedings
(2005) EUROCVD-15:583-590

Atomic Layer Deposition of Copper and Copper Nitride Thin Films from Cu(I) Amidinate Precursors
Li, Zhengwen; Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2005) 1-17

ALD of Ruthenium Metal Films Using an Amidinate Precursor Under Reducing Conditions
Lu, Huazhi; Li, Zhengwen; Gordon, Roy G.; Farmer, Damon
Proceedings of the AVS Atomic Layer Deposition Conference
(2005) 1-16

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides
Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2005) 1-32

ALD of Scandium Oxide from Tris(N,N'-diisopropyladetamidinate)Scandium and Water
de Rouffignac, Philippe P.; Gordon, Roy G.
Proceedings of the AVS Atomic Layer Deposition Conference
(2005) 1-16

Determination of energy barrier profiles for high-κ dielectric materials utilizing bias-dependent internal photoemission
Brewer, Julie Casperson; Walters, Robert J.; Bell, L. Douglas; Farmer, Damon B.; Gordon, Roy G.; Atwater, Harry A.
Applied Physics Letters
(2004) 85:4133-4135

Sealing Porous Low-κ Dielectrics with Silica
de Rouffignac, Philippe; Li, Zhengwen; Gordon, Roy G.
Electrochemical and Solid-State Letters
(2004) 7:G306-G308

Improved fill factors in amorphous silicon solar cells on zinc oxide by insertion of a germanium layer to block impurity incorporation
Ganguly, G.; Carlson, D.E.; Hegedus, S.S.;  Ryan, D.; Gordon, R.G.; Pang, D.; Reedy, R.C.
Applied Physics Letters
(2004) 85:479-481

Atomic Layer Deposition of Insulating Hafnium and Zirconium Nitrides
Becker, Jill S.; Kim, Esther; Gordon, Roy G.
Chemistry of Materials
(2004) 16:3497-3501

Surface Chemistry and Electrical Properties of Germanium Nanowires
Wang, Dunwei; Chang, Ying-Lan; Wang, Qian; Cao, Jien; Farmer, Damon B.; Gordon, Roy G.; Dai, Hongjie
Journal of the American Chemical Society 
(2004) 126:11602-11611

Self-Aligned Ballistic Molecular Transistors and Electrically Parallel Nanotube Arrays
Javey, Ali; Guo, Jing; Farmer, Damon B.; Wang, Qian; Yenilmez, Erhan; Gordon, Roy G.; Lundstrom, Mark; Dai, Hongjie
Nano Letters
(2004) 4:1319-1322

Atomic Layer Deposition to Fine-Tune the Surface Properties and Diameters of Fabricated Nanopores
Chen, Peng; Mitsui, Toshiyuki; Farmer, Damon B.; Golovchenko, Jene; Gordon, Roy G.; Branton, Daniel
Nano Letters
(2004) 4:1333-1337

Atomic layer deposition of lanthanum aluminum oxide nano-laminates for electrical applications
Lim, Booyong S.; Rahtu, Antti; de Rouffignac, Philippe; Gordon, Roy G.
Applied Physics Letters
(2004) 84:3957-3959

Analysis of the crystal structures of 1,3-di-tert-butyl-2,3-dihydro-1H-1,3,2-diazasilol-2-ylidene and 1,3-di-tert-butyl-2,2-dichloro-1,3-diaza-2-sila-4-cyclopentene
Becker, J. S.; Staples, R. J.; Gordon, R. G.
Crystal Research and Technology 
(2004) 39:85-88

Carbon Nanotube Field-Effect Transistors with Integrated Ohmic Contacts and High-κ Gate Dielectrics
Javey, Ali; Guo, Jing; Farmer, Damon B.; Wang, Qian; Wang, Dunwei; Gordon, Roy G.; Lundstrom, Mark; Dai, Hongjie
Nano Letters
(2004) 4:447-450

Review of Recent Progress in Atomic Layer Deposition (ALD) of Materials for Micro- and Nano-electronics
Gordon, Roy G.
Polymeric Materials: Science and Technology Reprints
(2004) 90:726-728

An ALD Solution for Copper Barrier/Seed Layers on Porous Low-k Dielectrics
Gordon, Roy G.; de Rouffignac, Philippe; Li, Zhengwen
Proceedings of the AVS Atomic Layer Deposition Conference
(2004) 1-19

Atomic layer deposition of transition metals
Lim, Booyong S.; Rahtu, Antti; Gordon, Roy G.
Nature Materials
(2003) 2:749-754

Synthesis and Characterization of Volatile, Thermally Stable, Reactive Transition Metal Amidinates
Lim, Booyong S.; Rahtu, Antti; Park, Jin-Seong; Gordon, Roy G.
Inorganic Chemistry
(2003) 42:7951-7958

Low-temperature atomic-layer-deposition lift-off method for microelectronic and nanoelectronic applications
Biercuk, M. J.: Monsma, D. J.; Marcus, C.M.; Becker, J.S.; Gordon, R.G.
Applied Physics Letters
(2003) 83:2405-2407

Tungsten Nitride Inverse Opals by Atomic Layer Deposition
Rugge, Alessandro; Becker, Jill S.; Gordon, Roy G.; Tolbert, Sarah H.
Nano Letters
(2003) 3:1293-1297

Highly conformal atomic layer deposition of tantalum oxide using alkylamide precursors
Hausmann, Dennis M.; de Rouffignac, Philippe; Smith, Amethyst; Gordon, Roy G.; Monsma, Douwe
Thin Solid Films
(2003) 443:1-4

Highly Conformal Thin Films of Tungsten Nitride Prepared by Atomic Layer Deposition from a Novel Precursor
Becker, Jill S.; Suh, Seigi; Gordon, Roy G.
Chemistry of Materials
(2003) 15:2969-2976

Diffusion barrier properties of tungsten nitride films grown by atomic layer deposition from bis(tert-butylimido)bis(dimethylamido) tungsten and ammonia
Becker, Jill S.; Gordon, Roy G.
Applied Physics Letters
(2003) 82:2239-2241

A Kinetic Model for Step Coverage by Atomic Layer Deposition in Narrow Holes or Trenches
Gordon, Roy G.; Hausmann, Dennis M.: Kim, Esther; Shepard, Joseph
Chemical Vapor Deposition
(2003) 9:73-78

Surface morphology and crystallinity control in the atomic layer deposition (ALD) of hafnium and zirconium oxide thin films
Hausmann, Dennis; Gordon, Roy G
Journal of Crystal Growth
(2003) 249:251-261

Technological Challenges for Transparent Conductors
Gordon, Roy G.
Advances in Science and Technology (10th International Ceramics Congress, 2002, Part D)
(2003) 33:1037-1050

Characteristics of tungsten carbide films prepared by plasma-assisted ALD using bis(tert-butylimido)bis(dimethylamido)tungsten
Kim, Do-Heyoung; Kim, Young Jae; Song, Yo Soon; Lee, Byung-Teak; Kim, Jin Hyeok; Suh, Seigi; Gordon, Roy G.
Journal of The Electrochemical Society
(2003) 150:C740-C744

Rapid Vapor Deposition of Highly Conformal Silica Nanolaminates
Hausmann, Dennis; Becker, Jill; Wang, Shenglong; Gordon, Roy G.
Science
(2002) 298:402-406

Atomic Layer Deposition of Hafnium and Zirconium Oxides Using Metal Amide Precursors
Hausmann, Dennis M.; Kim, Esther; Becker, Jill; Gordon, Roy G.
Chemistry of Materials
(2002) 14:4350-4358

Vapor Deposition of Metal Oxides and Silicates: Possible Gate Insulators for Future Microelectronics
Gordon, Roy G.; Becker, Jill; Hausmann, Dennis; Suh, Seigi
Chemistry of Materials
(2001) 13:2463 - 2464

Atmospheric pressure chemical vapor deposition of electrochromic tungsten oxide films
Gordon, Roy G.; Barry, Sean ; Barton, Jeffrey T.; Broomhall-Dillard, Randy N.R.
Thin Solid Films
(2001) 392:231-235

Automatic control of stoichiometry in CVD of metal silicates by alternating surface reactions
Gordon, Roy G.
Electrochemical Society Proceedings (Fundamental Gas-phase and Surface Chemistry of Vapor-phase Deposition II)
(2001) 2001-13:136-143

Alternating layer chemical vapor deposition (ALD) of metal silicates and oxides for gate insulators
Gordon, Roy G.; Becker, Jill; Hausmann, Dennis; Suh, Seigi
Materials Research Society Symposium Proceedings (Gate Stack and Silicide Issues in Silicon Processing II)
(2001) 670:K2.4.1-K2.4.6

Volatile liquid precursors for the chemical vapor deposition (CVD) of thin films containing tungsten
Gordon, Roy G.; Barry, Sean; Broomhall-Dillard, Randy N.R.; Wagner, Valerie A.; Wang, Ying
Materials Research Society Symposium Proceedings (Materials, Technology and Reliability for Advanced Interconnects and Low-k Dielectrics)
(2001) 612:D9.12/1-D9.12/6

Criteria for Choosing Transparent Conductors
Gordon, Roy G.
Materials Research Society Bulletin
(2000) 25:52-57

Synthesis and Solution Decomposition Kinetics of Flash-vaporizable Liquid Barium Beta-diketonates
Gordon, Roy G.; Barry, Sean; Broomhall-Dillard, Randy N.R.; Teff, Daniel J.
Advanced Materials for Optics and Electronics
(2000) 10:201-211

New Liquid Precursors for CVD of Metal-containing Materials
Gordon, Roy G.
Electrochemical Society Proceedings
(2000) 2000-13:248-259

Volatile liquid precursors for the chemical vapor deposition (CVD) of thin films containing alkali metals
Broomhall-Dillard, Randy N.R.; Gordon, Roy G.; Wagner, Valerie A.
Materials Research Society Symposium Proceedings (Chemical Processing of Dielectrics, Insulators and Electronic Ceramics)
(2000) 606:139-145

Monomeric chelated amides of aluminum and gallium: volatile, miscible liquid precursors for CVD
Barry, Sean T.; Gordon, Roy G.; Wagner, Valerie A.
Materials Research Society Symposium Proceedings (Chemical Processing of Dielectrics, Insulators and Electronic Ceramics)
(2000) 606:83-89

Improved conformality of CVD titanium nitride films
Liu, Xinye; Lu, Yuan Z.; Gordon, Roy G.
Materials Research Society Symposium Proceedings (Properties and Processing of Vapor-deposited Coatings)
(1999) 555:135-140

Highly conformal diffusion barriers of amorphous niobium nitride
Gordon, R.G.; Liu, X.; Broomhall-Dillard, R.N.R.; Shi, Y.
Materials Research Society Symposium Proceedings (Advanced Interconnects and Contacts)
(1999) 564:335-340

Liquid compounds for CVD of alkaline earth metals
Gordon, Roy G.; Barry, Sean T.; Liu, Xinye; Teff, Daniel J.
Materials Research Society Symposium Proceedings (Multicomponent Oxide Films for Electronics)
(1999) 574:23-30

Synthesis and decomposition kinetics of liquid precursors for chemical vapor deposition (CVD) of barium
Gordon, Roy G.; Barry, Sean T.; Broomhall-Dillard, Randy N.R.; DiCeglie, Nicholas Jr.; Liu, Xinye; Teff, Daniel J.
Electrochemical Society Proceedings (Fundamental Gas-phase and Surface Chemistry of Vapor-phase Materials Synthesis)
(1999) 98-23:270-279

New liquid precursors for chemical vapor deposition
Gordon, Roy G.; Chen, Feng; Diceglie, Nicholas J. Jr.; Kenigsberg, Amos; Liu, Xinye; Teff, Daniel J.; Thornton, John
Materials Research Society Symposium Proceedings (Chemical Aspects of Electronic Ceramics Processing)
(1998) 495:63-68

Calculation of Mineral Properties with the Electron Gas Model
Gordon, Roy G.; Lacks, Daniel J.
Molecular Engineering
(1997) 6:61-79

Chemical vapor deposition and properties of amorphous aluminum oxide films
Gordon, Roy G.; Kramer, Keith; Liu, Xinye
Materials Research Society Symposium Proceedings (Amorphous and Crystalline Insulating Thin Films)
(1997) 446:383-388

Deposition of Transparent Conducting Oxides for Solar Cells
Gordon, Roy G.
American Institute of Physics Conference Proceedings
(1997) 394:39-48

Chemical vapor deposition of coatings on glass
Gordon, Roy G.
Journal of Non-Crystalline Solids
(1997) 218:81-91

Atmospheric pressure chemical vapor deposition of TiN from tetrakis(dimethylamino) titanium and ammonia
Musher, Joshua N.; Gordon, Roy G.
Journal of Materials Research
(1996) 11:989-1001

Atmospheric Pressure Chemical Vapor Deposition of Titanium Nitride of Tetrakis (diethylamido) Titanium and Ammonia
Musher, Joshua N.; Gordon, Roy G.
Journal of The Electrochemical Society
(1996) 143:736-744

Calculation of Mineral Properties with the Electron Gas Model
Gordon, Roy G.; Lacks, Daniel J.
Molecular Engineering
(1996) 6:63-79

Atmospheric pressure chemical vapor deposition of titanium nitride from titanium bromide ammonia
Gordon, Roy G.; Frisbie, Ross W.; Musher, Joshua; Thornton, John
Materials Research Society Symposium Proceedings (Covalent Ceramics III-Science and Technology of Non-oxides)
(1996) 410:283-288

Preparation and properties of transparent conductors
Gordon, Roy G.
Materials Research Society Symposium Proceedings (Thin Films for Photovoltaic and Related Device Applications)
(1996) 426:419-429

Depositions and Reactions of Metals and Metal Compounds
Gordon, Roy G.
Electronic Materials Chemistry
(1996) 171-197

Optimization of textured-dielectric coatings for crystalline-silicon solar cells
Gee, James M.; Gordon, Roy G.
Institute of Electrical and Electronics Engineers Photovoltaic Special Conference
(1996) 25:733-736

Low-temperature atmospheric-pressure metal-organic chemical vapor deposition of molybdenum nitride thin films
Fix, Renaud; Gordon, Roy G.; Hoffman, David M.
Thin Solid Films
(1996) 288:116-119

Step Coverage and Material Properties of CVD Titanium Nitride Films from TDMAT and TDEAT Organic Precursors
Toprac, Anthony J.; Wang, Shi-Qing; Musher, Joshua; Gordon, Roy G.
Materials Research Society Symposium Proceedings (Evolution of Thin Film and Surface Structure and Morphology)
(1995) 355:323-328

Calculations of Pressure-Induced Phase Transitions in Mantle Minerals
Lacks, Daniel J.; Gordon, Roy G.
Physics and Chemistry of Minerals
(1995) 22:145-150

Use of generalized gradient approximation in pseudopotential calculations of solids
Juan, Yu-Min; Kaxiras, Efthimios; Gordon, Roy G.
American Physical Society Physical Review B: Condensed Matter
(1995) 51:9521-9525

CVD precursors containing hydropyridine ligands
Gordon, Roy G.; Thornton, John; Chen, Feng
Materials Research Society Symposium Proceedings (Chemical Vapor Deposition of Refractory Metals and Ceramics III)
(1995) 363:183-194